Essays about: "embedded domain-specific languages"

Showing result 1 - 5 of 7 essays containing the words embedded domain-specific languages.

  1. 1. A Language for Board Games – Development of an Embedded Domain-Specific Language for Describing Board Games

    University essay from Göteborgs universitet/Institutionen för data- och informationsteknik

    Author : Edvin Alestig; Joel Ericson; Erik Eriksson; Lukas Schiavone; Filip Torphage; Joakim Tubring; [2023-03-03]
    Keywords : DSL; domain-specific languages; Haskell; functional programming; board games; game theory; domänspecifika språk; funktionell programmering; brädspel; spelteori;

    Abstract : In recent years board games have increasingly found themselves in the digital medium. One way to enable easier creation of digital board games is to create a domainspecific language (DSL) for that purpose. This thesis details the process of developing an embedded DSL for describing board games with Haskell as its host language. READ MORE

  2. 2. EDPM : An embedded domain-specific language for performance monitoring C and C++ programs

    University essay from Blekinge Tekniska Högskola/Institutionen för programvaruteknik

    Author : David Weisskopf Holmqvist; [2023]
    Keywords : performance monitoring; domain-specific languages; language abstractions; compilers;

    Abstract : Manual insertion of performance monitoring probes gives programmers great control over the performance counters collected, but brings with it problems such as increased code size, obfuscated logic in code due to intermingling of application logic and performance probes, and time and mental cost required to learn the APIs of different performance monitoring tools. EDPM is an embedded domain-specific language that seeks to raise the level of abstraction for marking regions of code that need to be instrumented in C and C++ programs, designed to be easy to learn for programmers and to reduce the lines of code needed to collect performance counters. READ MORE

  3. 3. Prototyping a formal system modeling workbench in the java ecosystem : A Domain Specific Language in Groovy

    University essay from KTH/Skolan för elektroteknik och datavetenskap (EECS)

    Author : Joakim Savegren; Joar Edling; [2022]
    Keywords : ForSyDe; Models of Computation; Domain Specific Language DSL ; Data-flow; Embedded Software; Modeling; Programvara för inbyggda system; ForSyDe; Beräkningsmodeller; Domänspecifika språk; Data flöde; Modellering;

    Abstract : Modeling is a fundamental property in today’s development of embedded systems. Models of computation enable us to describe the functionality and characteristics of a system on a higher abstraction level which gives the designer great insight in the behavior of the final implemented system at a very early stage in the design process. READ MORE

  4. 4. Pattern Matching for Non-inductive Types in Code-generating Haskell EDSLs

    University essay from Göteborgs universitet/Institutionen för data- och informationsteknik

    Author : Thomas Li; [2021-09-20]
    Keywords : haskell; haski; edsl; pattern matching; embedded domain-specific languages; functional programming;

    Abstract : Internet of Things (IoT) devices are becoming increasingly common in the modern home, as are IoT-related security vulnerabilities. There are reasons to believe that many of these vulnerabilities were caused by programming errors made possible due to working in a low-level programming language. READ MORE

  5. 5. Building Programming Languages, Construction by Construction

    University essay from KTH/Skolan för elektroteknik och datavetenskap (EECS)

    Author : Viktor Palmkvist; [2018]
    Keywords : domain-specific language; programming language construction;

    Abstract : The task of implementing a programming language is a task that entails a great deal of work. Yet much of this work is similar for different programming languages: most languages require, e.g., parsing, name resolution, type-checking, and optimization. READ MORE