Profiling memory accesses on the ODROID-XU4

University essay from Uppsala universitet/Institutionen för informationsteknologi

Author: Erik Österberg; [2017]

Keywords: ;

Abstract: Decoupled Access-Execute(DAE) is an innovative approach to optimize energy consumption of computer programs by splitting the program into two tasks; the first task is to access data, this is profoundly memory-bound and can be done with energy efficient cores. The second task is to execute and compute the data, which iscompute-bound and can be done with powerful cores. This thesis work aims todevelop a profiling tool that can measure the efficiency of DAE by investigating thecache misses in the original code and the DAE code (in the access and executephases). This was achieved by measuring the cache loads and memory accesses forthe DAE transformation for the benchmarks done by a previous study that targets DAE on Arm's HMP architecture, big.LITTLE. The data obtained from this study showt hat DAE on big.LITTLE has a potential for energy savings, especially with applications that feature indirection in memory accesses. Arm DynamIQ opens up new possibilities for DAE code transformation. New levels of energy efficiency can be reached with a finer-grained Dynamic Voltage Frequency Scaling(DVFS), a more rapid power, state transition mechanism and a shared cache for 'big' and 'LITTLE' CPUs.

  AT THIS PAGE YOU CAN DOWNLOAD THE WHOLE ESSAY. (follow the link to the next page)