Essays about: "ARM big.LITTLE"

Found 3 essays containing the words ARM big.LITTLE.

  1. 1. Profiling memory accesses on the ODROID-XU4

    University essay from Uppsala universitet/Institutionen för informationsteknologi

    Author : Erik Österberg; [2017]
    Keywords : ;

    Abstract : Decoupled Access-Execute(DAE) is an innovative approach to optimize energy consumption of computer programs by splitting the program into two tasks; the first task is to access data, this is profoundly memory-bound and can be done with energy efficient cores. The second task is to execute and compute the data, which iscompute-bound and can be done with powerful cores. READ MORE

  2. 2. Decoupled Access-Execute on ARM big.LITTLE

    University essay from Uppsala universitet/Institutionen för informationsteknologi

    Author : Anton Weber; [2016]
    Keywords : ;

    Abstract : Decoupled Access-Execute (DAE) presents a novel approach to improve power efficiency with a combination of compile-time transformations and Dynamic Voltage Frequency Scaling (DVFS). DAE splits regions of the program into two distinct phases: a memory-bound access phase and a compute-bound execute phase. READ MORE

  3. 3. Energy Efficiency Analysis of ARM big.LITTLE Global Task Scheduling

    University essay from Högskolan i Skövde/Institutionen för informationsteknologi

    Author : Sigurd Israelsson; [2015]
    Keywords : ARM big.LITTLE; Global Task Scheduling; PARSEC Blackscholes; BBench; Energy efficiency;

    Abstract : In this paper an ARM big.LITTLE system with Global Task Scheduling is evaluated in terms of its energy efficiency and performance measured in execution time. The big.LITTLE system is evaluated against the same system but with only the big or LITTLE processor active. READ MORE